JISE


  [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]


Journal of Information Science and Engineering, Vol. 27 No. 5, pp. 1527-1543


Accurate TSV Number Minimization in High-Level Synthesis


CHIH-HUNG LEE, SHIH-HSU HUANG+ AND CHUN-HUA CHENG
Department of Electronic Engineering 
Chung Yuan Christian University 
Chungli, 320 Taiwan 
+E-mail: shhuang@cycu.edu.tw


    Recent progress in process technology makes it possible to vertically stack multiple integrated chips. In three dimensional integration circuits (3D ICs), through silicon vias (TSVs) are used to communicate signals between layers. However, TSVs act as obstacles during placement and routing and have a negative impact on chip yield. Therefore, TSV number minimization is an important topic in 3D IC design. However, previous high-level synthesis approach only tries to maximize the number of same-layer operation-level datatransfers. In fact, a TSV should correspond to a cross-layer resource-level data-transfer. Therefore, in this paper, we propose an integer linear programming (ILP) approach to perform TSV number minimization by minimizing the number of cross-layer resource-level data-transfers. Experimental results consistently show that our approach is more effective than the previous approach in TSV number minimization.


Keywords: electronic design automation, high-level synthesis, three dimensional integration circuits, layer assignment, through silicon via

  Retrieve PDF document (JISE_201105_02.pdf)