JISE


  [1] [2] [3] [4] [5] [6] [7] [8] [9] [10]


Journal of Information Science and Engineering, Vol. 28 No. 5, pp. 971-988


High-Level Synthesis for Minimum-Area Low-Power Clock Gating


SHIH-HSU HUANG, WEN-PIN TU AND BING-HUNG LI 

Department of Electronic Engineering 
Chung Yuan Christian University 
Chungli, 320 Taiwan


    Clock gating is one of useful techniques to reduce the dynamic power consumption of synchronous sequential circuits. To reduce the power consumption of clock tree, previous work has shown that clock control logic should be synthesized in the high-level synthesis stage. However, previous work may suffer from a large circuit area overhead on the clock control logic. In this paper, we present an ILP (integer linear programming) formulation to consider both the clock tree and the clock control logic. Our optimization goal is not only to conform to the constraint on the overall power consumption, but also to minimize the area overhead of clock control logic. Compared with previous work, benchmark data show that our approach can greatly reduce the circuit area overhead under the same constraint on the overall power consumption.


Keywords: electronic design automation, high-level synthesis, clock gating, sequential circuits, area minimization

  Retrieve PDF document (JISE_201205_10.pdf)